From dbbe78bb33a1d4672b47b378f9198d717fa9abd7 Mon Sep 17 00:00:00 2001 From: "Kevin M. Rosenberg" Date: Tue, 11 Nov 2003 12:27:43 +0000 Subject: [PATCH] r8146: initial import --- doc/html.tar.gz | Bin 26966 -> 26972 bytes doc/ref_clsql_sys.xml | 103 ++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 103 insertions(+) create mode 100644 doc/ref_clsql_sys.xml diff --git a/doc/html.tar.gz b/doc/html.tar.gz index 61bad3cf660c48936ac33d265cae4be0d95e433b..56ca7aacbf2a3fc448395617cde200e967df77ae 100644 GIT binary patch delta 26799 zcmV(|K+(U}(gEDk0e>Hh2mq$lus;F=>|N<{+eni3U+F0-=-738LZo<8@<@!ZCA(X( zvaGf2nciO;AW$T+1_3k*kj#FXe$>sZ12;*K0uQmNh;BvZ7XdZX8=$NyD7uj;*Cr`_l@yY23)dZSVAHeZp>tA7pr1kBewLSFr#kET86 zxkcZ<(4R5#-}UHF(`gO=SO@D*X%J^nWedzZX(u;by*oZ6X?$v8n~>Own2Y#(X9~P5_J3a;lFRmcSb$-hWr|s z22H#jxU0Vls`6+$0b8Ad4U-qW?R$<9=)URLDI#Od^?#}NSEKgVP3$3{bSii?aEvJ# zjC99xyvxed*z{pWkTI8)fu-p`Dg>Lnth`1Q`}C?Rx#JT_IZX`~HPtJ`&y1 z7=u1)kJt+JkeS-RqU6am{PAT4H2FU&gawm{=1ngvciN*l(y(JBdftQo#=uvLxte`h zY4N5;@PC^Qe8xv2!5G7E`1AOmp&Dso8}c2C4t*f15lz=AV?QXgn#VpQ`gn1w_5T8j z2JeBS){Rk9J@`wso!_Kqj>bMdlW5eQ&9nX;OgpwMn&u-q<3T0aqJQ@v;2hxS9B#Pm0hcMXry12!AJc+*B=}MnvKtxczTqlaUchC~-aK z5&mT$qeJRZTc^haetd`cV@ifp^8*jQb%x{u*{ZstxvpjEniS3WH+15THD)sSm`?g! zCoWFmw~JGJO8rwKJX5OPdRp})Gj~+2DAXnfMsziyc_s|Ct74R z{(s3Q$FiI!(;mT$XnxpFm_eMOaM}o?qMt*@1BtRo0EU1Q5W-}lHnHhs0Qyn-bb>g8 z;M1Sl#I*#s0tRw)!rLG60Z@YsrVF~9+fyMA@SdT8Y-@~?0hpO8<+BGf!955<-uwI^ z{22cAD499|bPK2sL0!c}kdfsu1{MT+sDC??iDO$+oG5sQdJ`sw0k4~4<_rq&njtSP zPTd@(0-H5-9IoD6>k8Vf@SUU$YL{Vya?OjfK}mW+9=-2wWL5IJR*J1!Fmm!J|MDHT z($B7LD~xuWH`?D|Q7<;$WRL!uu~z0-V`=_y?Zkx?U7zDuf9oIa|HCo>zSwk2{(pa` z)o7&s|8}c;@c&=9|Nonis@?Ygm-oNtVS(pRi{k>I>>&#nc=aqv>OTI}UciA!PM!@1 zGOOKEs9^RwvMN@{;p5U^0iCnP^PmD@s829Zi)!9GGI0EH3_eKfFW^JSi~{h1`(~tM z4;K9CC(rc3CZM1CUubp7=NkFyFn?+fs5j#7I=Y_*$lU;px9ywYvrc7aK=kfS>Ve<+ zwMIT`<{Jj?uud%tc)VIqfyj)mx}aAu^)(J*CK_}Utf4&u$9w2GlagDLI z!7*6?8Jn1YQo{lk0oq7uPrWQ^ayK1JMk);OM`P0DsHi)DGcu zA3m?T4txwwJGBf}6D~KB5M*4(^TjC9*`GbqtIyxBZq8UxcZ{VC|;6lM$2Qo)fkm+I!w)WHlIG(&t6 z=wo6|5b*+EJZAtti4C@dw|_8yem_sSw1`$__CBP|rVNBcv3~Y*QnDGB2mt{>jgaY? zcYIH?nI`Zf3*6K*r-7BS7dr;t7OohpVYm$0XG6JyOUZIrE`Pz>I-pDVI0qQFiTqDW zwl;|W8m(rlm%@MTZo7BDe=k4(lhES72?~q{Q$j+&g+BJIL{JoePJh066Z>XgvOfv2 zcqZseO!v0&UY3o!2lw1`06|N$WFhoRb+@@>cU!X(S*FoE@AO*rbJ4BYZtpc63RgBn z1LM|0xLPt$4H)>xbD+k<^(XZxfF1-8CTJ2m)aZT_(`Uy=_^D%qIIY3o*C zP|Ujsc%Ug=0Mq^M^nX+b8g`92fu~b|5P(o^3YG@exe-|E%KvgF>%vq`>A-cxiIryP z>h}Fz&J10gnw*Vj3@njhs>Pw}Mr5hoTO>=%gU&+Iwhm!S@i`a{&Dw+-Rns0iHISv# z^)Y$oR0tj)A87y<$s7Wo9FKwtC7%LYfW;eyy5nTYFX13nj(?Eb(bxR1DhxP2DE=X4 z3@d%!J<*r9sBaRAl1X6srfbc^(C_aaZpanSLXumPxhmjtWMUR|0@|s?(!f%9-TwbL z0nve^;XK(~qsyFE5nBNpK|X!Ej~GAXe24!h+3p4dE)2!^k5*pI6^~tc6xioc@~7#N zp^1rO3_N@`b$`!c&d?|S2ItCoVlsLlf&wFW*u{J;IM3lb$43t4eAR=S)%LSy_pDK0 zr0t)tJ6qG@H;lLr^G6!D8sm%0Dm1KNC+v+HobYqwxk(<5NZvA z7gJbrlTg$`j6FWWplwRSMP-LF9i_*$N6sVl?5F^PsljAS&B}!#v%;jJ$|kH}NjHbu zANFS1__E_tTQ@!368K7apZHjn|6axC-jVQ`d1{r3&^~r+O^oVa(}AfWzwO}X3Hjtv z`r+Z4w0~=z6K;>P*6R2BM6B|8-9j;Ya=afb>{h$hN_T4$9Z7_h8)vk@)I2zr=7IP% zYiA{POO16j6+=%MA$TF$oGJm#JE0jp9Jhp1hR;|-0QO@p#8xU`# z2ZGJZ-Vm-6!}LIK$ou;nuEO80?uqmlbjP--tV>+BL(;f{XOYT#{W8ZO%-m02O~jTnKr!y^Uh2n8 zU@nZ94yh(G`qeQKKNNdB6zBl!P%a3}KQB-&d{S-`KTE z-%w4}EFK&7{eJEENW_4lkKv9kXXI%Ubbr3VL9X(z_e13QIvRrKB)N|Q_H0u*pY#!c_vQ^ZYAY0l-U9qn&a9Iv)*l22d1y;7LSiy z4JMz0CX3*-NBzLF(fbU*F7Vjbyv#&0WQJL_3E;DG@oqN6XE?-mqG)4sNW9kpJAWZp zk`>2CAuo8ePR@jZf!%>ewI3+PEIl(k)awxcNhBb#g3+SKN3CYEtz=^3v-X3|O9S8x z|9bcRRK=BKv|r>9a5$DJ`^5zf)hq0KjXapR;|yTqG)wyU2sg{&)Yxx)GS(icw6RD{ zQ#n-cBwG}TP#4U??QMKhU(OS^H-8^OhRhvfd?;!+8lZCKd*&d>q%z(9x7^`k@JPeS zBG8JQuM?DP5&s3&TG-R$BT+c4wp)6DbY%#@5u4;n-gJq%tBE!xHWi$rhUtfP5NuSQ zAq=Ah>~u1PMebC|3Ac&IN0@ZuWv(K)Z8@Tc*!!syfB^HLN@Ay_P))FrT7O{qB$7Km z`=G-v=MDoG6YG`vq)t&0>>s>vS;hZUq61$O0SXlZjn8_x4-p5=yQ?OhbIksMi7V=( z{U#ap4gEWRQf$(Gj4{~5YTiZY)2 zI92|8jX9G2T;UeHE?(rB%75rrMPk#n0o`t^BNoKlva`1ZosjP~W?Vr=0~;~u{7UZ? za>#LWMF1w~;F`ly;iHB{;su7#SwrJolWXL?VTh$W5@(RU(0_Zw;zIMNc|vA1W(?o` z1HE)lv#K5);Yg7e2WvmI&@Uw;iT1qw}>=!(}w zA}|U-%gxigQXlL9;2p}=G&`_}Gu(SGw0?&6od(Q1|8L zsE_f%rZhjM6CiW=yY0+|64>yJQ2a=oh^AHr9c-l5I@Kdd+9Ak~94fp$Kd# zZ7gfioY|>@tETMEv)0a;eOTk-xkk-h=R!Jvs-C6)=+e$oe}6tt3MHXlo)DNUjZ942 zoCK2-^@gu@faZo(-tk7l%(vKthYmB)!U8NGW|hX$u`*=zoHbnxD{FLMxiWEN^URJ| z*f0zKR@vl#@-4Sw$y2)n7ZKn9;cZAj!9O|3MQ=yz>RWs}%9yW0LN3j3fzX3kr0;)S zij?LU13emxOn;=O(&!6_gp(M)l;$8uL0-~Wf+*^b)tok%=;l8g`{>A zm#W|q%BDZ59{9rf;yskw01Uvo#<-En)%@BizEzdu;(p9GMGBufnc;!s1JtWZ9p+$n z919TeU>+_5e3jtQ12U6NO?T{}IZ{AI%v<;(x5T_Fgn#jHVdOW4y%fYI8@T;5l!W>niZcG5^&cr! z+35LCy+*H|ssHG<4)q@|y#8Z$a($1_gN+}h954Kk2Xy9_d@R^9{PN2_=GIv}vjQZV z?#-P6n|~}NUAE3c9vxcN@vmm;d|++%bT3oj;XAshVjlFrae;7#MA`!e4nbcOW`pf8 z{G?wm%2@j0)}_qq^4bkNz-BOpd-02yJn8i#xkV(C^BW`3`INtH{QL-Iy!puy%77O*F0l0dlv=`s zM1SE^JY#O&E^@%|Gj@^lw&bY=;Z7tx)SB4AWB_`=*FbDj##2Pg>D`pJurwis_z_Gs8Ub zyvuSMd}LbVS=)KyEL%F*gSV{MzBf&{is}~*x1S7w~*9ZuXP7QwH4Y=*Tnv>(BBv#|zygXeXF-8;uv5O$ZwlGsY z^ZCM0`niXG&#D6`G7s%`G!NI5>3^Q-MzKJ71(dwQXoC_EV?Q>+FZdA$a#r*$>0UN&mr_rsa{Qq{d-hVmx|9j#8 zEAih$-#>+zQ|^4|Wi7x1!r_<=3m$Gh-`{@RJ9MDrq6}n^X2doyLY9r|fC`-ahF}8c z&^93gAMBdo!TI^R;Q{|(p~GM7DX?N_eU^b7F9)KWbs9SkQFvi4w&YP*2pC=^C1mpi zcM3<~rS#4m@XJzWen*f@v45ptc|a4n6gvWK;;zXd@AK00KN+#z6#hf7m&*UNd+h`M z+Y9`cvJv|T0~cm==E1)w&9m_?zMR+p{r>CS&E2ni1o~3KPzv^oFSA>~eF^@p1Msy#Zd)pC;q*X%k6Ou{9KWIdQO*P(C8y9(T=+ z6u`r`BaFxQnk#(A!666JxWQkOH@A>wMRj*!;=C1MMURgnmmV?+WAr=ios}Z2w?kBqOCD!kqZ)_7Z|? z$A_|k4M9W6s%@qP<$%|N6Pvx(x^bfH|3XYu^tl&=%394AnCesVc1ZSZ6vY*=;=7ga zTL-3#`wzR7^gAHDJ4+9tG|2oYS{_EBo%>(}1r~Kc3!+*d+NxXUB&$M|EmoM|P z(d5nDzuw>8Tvs3NKVI!!L1;!$O2LB3MR^M_a299Rm@3>XB24malj*{>0>Rc^8~AUA7_J@vbvvDQ8vk{AjRXGM3;dU>?|YmITqb`v4-vvN z*x=sG27^~$?(RNbecL-6m@6=4<^{J733FJx4m6mx=Nm$VSq_G%FmhzW{0hG-hL_lp z0o66UUJDZ-qVuu{4yOxWQr@n%u7g8*-E(!{(fV*-mVYsa>d!K_6ao{^+RFgHP!^ez zL=LkYT@SoaDESqA1QDXAu7*WGr6hHxnDYBoO~i^ES;cM!k#`$c`eBw2$50)5&VVG?3 z`1*kV_W}Q>6=ffx|1+|;b1Q=9?JeEY6GIcSQVQyy8C~B-P4Gqz6OF9+<_;8%_*M|> z8-WLZCGq__0DZ5~Xm8*o&}hyNSiV#&-)qDxvY(0N!6t&?0tG@rQji}ThpkYY?zr=)2G_dhH z6Ssz&qP!q>t+lFESa#Av6IjNiA+ZI42!Fhe1(iM(g>XFwmgY&!pm@|cQKNSq#>{~= z<#%~6p2QswJrwqucBKY?9VZCqxa_-9gkRRd!twg=b)5mc@c!3!J#+s@{ZRkCC-vX^ ze+KY!dHT6`gYa6y`R59M{Qc(P+e6}b;k_vVU&Fb;nV|GG?hnaj>sl(nH+)8L_J5q< zBB-DI5m0X`Gn={tk^=~e{-k=awkSZW(OY{tcVSe2hyX0dyI!~42*B}?6gCh=Tp_>( z@c9Lf>_n#Ou@>6ZJRgya6jm2kDsf>6K&OncxL?dd@OYS9G_sXCJZOY+W;y%-ea(8(ri=_tt{+PU zglzg$?i?tBtHwTI41chCib^G9emnrAW`c*qxY>zn-MB4`#T6aQ(O^u?cDxBZI<2X< zg%O9jfM%pM%AFhAw89lAw%YSiIvrO&R}dApd7;m;Z08 z@W1Wm|19XYl>rNk&n-yBZCzUUu(*_yBT#RxTOSy>>@@MpR=!#wFiZp*;}9kY71s>| zHtMz3ieSKe44Vb9!{033C#3vq=0qe-0W%@WRB%2(JqzJ!VT3Xr>;q2GNswI7MrwZ< z%^Ilqm{tz(k(CyM@A=pUhy&me0ScD%Ak%|o^y`YS(V^pxEthrLvnnx4s*IrcsxU`k z71cegH&+C&$p|8^s1m~t)M-9+!H}^ghRX;;Y8ipF5JxJ!Jq!;|R%v;|NfWajk#9>m zFF)cZd`o*<8hZI`BT@Zt<@w(`wMKtEr2nrRhVYusH{ESTL61Bcn#{bBZmN5iq-TGdm)mV|fmn#^kn6|fzA<8)G z&01%t8^-8{>ERE7mWSrxeEMCi(wSs5p6QI<4(=3BYNSK@@D0$3$1{l3!=--=7~C;U z*oL8EAYSENbX>M2&`GC++U!)vqNnUzp{dMt5*Jq--xy1!bXDA$b$36>{GZ{au`2z) zUhjnU|5m%Z)Bm@F|5M$9wpjnqYO2-i{@lS!`c>3}5;iCHZ z%cqO?+e84W3QL(7z}kX95+-hTDg2+(F1{-IPifY2X8KUB`EWgcF@5)Y_K*d)Wa!UQ zkbq|IWa-SH(roNh`f^qJR;#zvBp*O4@r81H_~aiV4CLAV%49QaqeRVeG<$L8&o8QI z+n%3@f>#xv48-;n?KQe02u5%?Bp@d>P?Iqo8h>q2D(dlbZw{W}5Rdbfouc%lR;?HA znLgtgV>j|FWXkI&P zkn{L1jvTxVhwzv?<_#~y|95?7|KE81KYlCON*>UBR(m}N;9qQ5 zNq@>>^g>9$)$P^e)#>%sf3YH!;eFT+I3Qkj%Hai#S^-vy38c+)xxj#SdtW*>5Hp27 zK!6upYMGjq4eWeOL8ANcpG?<=elM)-x7+nVTl^D7@e_zZtv#K*8f=cfIqpCOEO@fH zD4CirFx`Z-ki=>iMh3=HrpVbI``8)_`BY(lsY-E07k!=_;hA$3x{|Z|6-l9iqag+& zrRw4}_tLcWfLwu8)USN%L3tL*7*Z)8f|aGoa^c&@Q%%Mug_U#pgmX&}Wq=*lswPUr3vX*LNE;qK%C zgGQ3oJ%iYHWN)L3UrhiGJudUE<#)n$o>@0W6+6B?p?*;gCJfmgL7*v3_rziB)`G>U1P1_QA4@ z(~;+tRCG_;_-~z=Km3t$-xwKY`WF&IKge2kay?HPR>i;RzxMcmm zTWg2?|GR(nc5|oyZ@T`Uyim3a1X##?p9Tgbl^P7PTJCnh0Ldb>AQq4W?XL+ASiYA) z{9eC&L?B@{eOLg@?t&UnYO4wncqM}a-9|DvV2m=gs$y7X{{^0lG8vMyvORmpy^Fo6 zL&Sm{btrzp?aD-qE7j?{t&?;MyrRZW2N>K7c4t9Bd{;n=G`+fWd`<_2^_~GUkc3>PWq>pz`P7?KTEL@z)QNJbQi3zX8?gKUHkbc1L z4el60r|OUS*Qe&3nwH@2(`z)Ag%R|ctr(2p+;)){5KnDlaSiQhQ`csrX9un^0}4{{ zquct3y^@>FA53=ySM13;oE%Rh{Z&W1^;t_v7 z>Fwe4Wh9Un44__d0Q=Dl`o(>s4)lPlS#U2DpgnFZ69Xq_gtP*DWP zIUe=ugC9wI4$zvSI^#)Ag1Jr=uX)6axYi>TDij^A5)Rl=F_@`%5}+pRt9%A|zb{bQ zU?3boB7Q)@kXH=**g9rH;sY;xgpq$318d@NSDh~t=i5Y^IPyH;PiJqcnLPIN$cr8r z2x(!1*a68pn~{OI$i%Y`6#laa69MatNf3=OH0_aBi6!!z`AmOM+c(>@q%M6A6I~pT zIq4*mZE&{~-;;{x=S1Xfe=o0Z2L#A42~co8P@1KU9&+y*9^iivWfBjzC18Iy5~YVB zhL~ypP}Z28YO1g*C?F+ap|qs@MQ_fI0&CzQ_Q>-Q_@faC_)$6{N5~NP2%^R0n#e|Q z!|`Ns%T`1(}i;aJNew;1@o`a57}KbU)TUl4EXjO}w@ z@M}Lwd&RJ3{o^CrdqaOa4G}W&y_n}$@pojgWb>XvF;*TUqnRgtQ(a*5=rlB@f~O^z z3i98hA}H&RMaO43R)=Qoq$cmYeG6|g=E!*PGZ{+P8voRR5Zg)LnS+)XaDM47Y`!E` z)P*uOUq!?&k5^{G4+m1tldN#h>$PS1WH#%vDQ6>mGIOH_>*s&F%8o4Lvu`AzSnGGr zjKX0%`$jtdlU7tpt0Oi3vlh<(({9#w`F}Rv|5Ljbw-x#?=%bkk`b%d`2=?bgEA7A! z+u`u3m6_5I|Mk0i#?EZXTu|X1efiFv(V6p6eh$8J$aP47S6-r8|B0;mlaI@F5^dJI zDNdpkC@R=!gl>PHr;kdYAl&z}q_qZEoZXsr@u;yxRdP;SJ#$lBW|&F_y5LH-+%a*F z1y2O@!-Z$9(dZWOj#c_oyz*9k6+y41gsw)#Q4L!)4A22t2bGOXdo0D+&*WyppukQb z^7eSv*qqGlz9-sAiwT?V@hwC4$Spo4-DWJ3x}a9uko|v9%MOujHcoo+>Uen&PKooo zBt(X-s*ME?Hp4?Q*Cizvco26JUO!)U^g{ic01jmRKQiAd(f{iZ0UFl-JB^+Gzw!Lf z+9kDR+)qWk^Rf{@rv#5~3GK61Mi%9Gib1~DO8dM-EnnWZmrwZ|oiSg}zb8gl-}cEp zC%y&-YIJ`%K@wB%xLgOnW>dCu7IW~^j3?<-X%2eQ;|u!eHJiQK(tPxCv|sC<7c;5B zjF#j;)@;=q>4BwTFQJTbCmab!z=RCUKjCipDQKTwgCtFvbnl8Ec*?2$s969WKhfj{xy zcG9}PFAeR}7^_x`_bn0U3-8aX=Wb7-Z4VMOmrmT?Y_|0*hq}czU%11Qx@g3=PA}OD#w4q za54lB33IyiD0olPAAG;Wdi+S%4 znxjj9j)E$XHxB}vFaXtL8fC7AhE(hdC4q~n@W}$$FL;Fl%A}O$h8jwpx39-)TKUXL z@fI7|q3wDz1sg^=b*-^!PduJXEeSCB(xD^;P2Wqq| z%b2$i0*6Q-at;s0B4Lo<%pPM%vnl(N+$bD>{j`kEM4eB=7(-%2KgA-uZrOAR%eT)- zI~}Dwm@Fy1L9<&dE;$G6zI4#L*S>5=vG!G>{k=}_^1K|h-}vC8YXIHB3I$E^e)3-&~4RLgy=8F5j0$SFt{PL_v#_Jj)Ahg8;u&6KX3ms#8CWewjx#0Z7Kt$y?!%R=iIR9u ztBe80WC9(+!xE5CfuFkuUzbc4)4Q_bT}VzKmKDX z>JwcD#%!4T2JdpIvq05&FV1{4Fpy}RGqwoA7Fl7*-8H0(ptAXBiV<{?D>&j$^3^tT zWUkUhJb?_0TO+S5=KA)=jC~nUx%Hd-$~E(8w&%5*`9w>0#=YH-&i=O?yI;nC`2SX? z9k%~9+x5=Q{S^H`j3#eo^7PnJHz$7DOUheOL{60aBn z1?w452fASA@a9igjpg~KIcg@i*a{Xw=!Nadl^C~R%7kg?M_%TB=co}%UjC(acoXuo zyxG9xtUKyr8CllvcNsd|*Qb|J{I6!%S9kw!Hyh2+{lC?1@9zH_AO9PFk?}241JeBU zlHL5OFa-K{tGA~&+i~HK%D|%c{x#nBH8WRoJg>SBFF%%7ogL-wPZuU7wIw=6U=ue) z4>*y-pGwpNLi4mFb)YckRjbxWrkyHx>e3Y@^05?GauXawxWk%As7TWG)i&e3sql?z zp9BL9UqdvFyjZVPB!$_3CY2E~hA~~?l!3jAmDN(Q;+%Hd9Olw;hArN$6VHOa)_z0eS!jLiRiajwmD_jlieGk2y`fd zquZX$(P0eit1u?$p((X5(s`|*yh+{Zjq6MqPo-QMlQtXv(tHo5po&L8S_8+l!w=DE4pY2Ak3l3ScV~V)Ey4_OakC2B&$KEn9nJCbq`+jHls=t{4`jCiE4Wz4R-+;iNpT*HRY9uc*w5rdQq!bMXvN zFMjgV@_<{tJ$n`W!$EY=9;h`K&xNXLIOq|yS3fvv=9ET))vEVe1xc{{CW5joT^{aBAy_24#*5>z{Y`nj zg5h)+Ks9yT8G=BFd9b!yG+I(xFKM26J})lK&V*d7?J<;MqRARwvIVRJ%b2&+djWwo z*mVH&iQ)nqz6z|yZyom{r~G>srKnWf!0JBp{~;xR1Qgw762Xob$i0Vh2zf&3#Ob>h z)(R;!u{D@s52@^^%O<@XS@ftn7C!77t!|+>vX}*$C45Q=6vI!y=g)qRkE}EZ?WBHw z<_9y$eTrt~e4o_jq!CFBX^Y0N9enRU8y%2UhR2;W&KtSMCervsS^4(u+wpYl4Ud_Q zm}`uGq!%MKE)eF`YAlr(uGNsQ7AuJ&iy8a``)Bp?{_dvw@af_#%k4gNILAjg6}PH1 z>-DUxaX-U}!;JtzN=Vu<`R#=t|E8BY;ixV!gdH7|@TEKp(9X8>v^SFP<++Ei-E5p} zZvKjJ={bO#Y&WST2yDCAX>S@uU?xmw?IxFhjJQv}upt#PT)X8(5#ycZWvlH!QF&ga z{ijuLHKO*PUH*?vxBrB9knQyT%izYBnBz0o%!<%60RW{gh)@R$vfJuT5C~bj|QF0W$gK#IiCLD2%RpI z?Jp1^?N+n48FnJ1oZ^sX#TZxYyIr9<(32l96@SxGKg<@lL51c# z(z*-M+0Cel&2cM+J%pxZ>^oopsl;Ziys6`P_S5J!?cvf4SnYN_IUFtPG9Ka11d?t9 zoGM|NL-UqwH{fO#ZlTC5;J`>Y%!$X?*fy1gG#d_6$!ckV;sO(&4RLOFAVS}4HU##J zY#g3tx@dN@qNIgL?tka`RHqpC7MIQ?Uow}WBMUNFNE~5;Im}3ws4>;EQDxUqK+rs6 zYPqqm(nj-p-_V3IK=u?LWGf&8Fsk01-2&(YA8kM4rAjb$2o~Zb3A|8CXB+VuR-A?HWXaX+9Dg%+Zl#NSkuArb`3}M~ za_NMZ6K}?@m&GB*wwxk&XGPjsk+#5!w121^2D#QP+U;($vtdTUEI{D;nJm)4!b0&_C_?Keub{(WXB6BP`=2bVOQ<8+{@18?ni2lL zR&AI6YvcJp{B2^pEPpwCe_|LwvT&Yn0r!VL{|j3FbbszPt0n#jO<2jSA5`@6**<7K ze1jhmpg|Nw;JS_}Uwl=dTpM4vyReNtRSQTL8uA)lOD!Ka86Qt-&rXRHm?%FglZ(dNGVjm_7vPdW* z%+G7CB&qWI z%*M+!I6;-@WWz6Fv?5>!ESSgEF&i|t(jc_tm^B}VIj(=P-3vpr*rxYte!ToWR92w2 zW87YB3`gekF&Kli&3p8X9cG_hm|P_a2qVBupMTVo|3K9+q0Tf2e53j2Ij-;lFnx`u zvsYHIF|odd3z2Gp=tCm1JXTUO##v}?udaoFP$B`JZnS`vJF+)v7FUEaN9B|Xg)Nn4 zdp>D)a|BPqGWXPzP_-iSBpYT(;(5drS*Jtis2W6SNa1W~d3F2%Our;==FaXe$A%@2 z3t(u||Qs_Qlo$SM}U-hY2$_eW3>q?JKin0}GxsrQ@dPO6EO(@E|b ze}yD^7~7cEgNpGqpRvQopfs8U!oyOA%3ym6BG=QnyJ+;Q{6$edz9`~N`F7`wXn0sN zMz3P`#s>pqOtB%74Ht3aLyTRRX(&tBF1AY;Cq#-HR24%em8=6Kw~;nLd{@d`>3=vB zLq2sKaK7+a2iUp}MiO7b_}Q>{@gUkKOAKu#NWg>J1OPrWy=c%IRarnB7u{CE)5t?` zu~YDgrhd_{paG)t=xB)su*a)RJ_xCn>{J{G&`bgGaUZCEp&T3-sr4+x}@8zMTmQ zL$-c=6=yyfzy%`J`O4%W?EyU?X32W8l*4&J+6Dh;CXc^jj0pTbx48_r9EPwd_Q&n`aRCt_e>h# zzvKQ$YR>(|!{h1wWAzNC@bKdP{_fs*N3%?oSLw44Y%r_m9}W6-BoCYXa~RAOwfg?z z6Ab7<+`Jvcm1G<~Ts;2KVD0;S{b+o56kny7YNfuUInP)p@2XsL?SC&uEytp7wd}r@y+yu^5&dl(@ zg2BG;Bl(bn{^_1IW`EMeKN9&sIrz)(D+*Fm@g2T6%T)%4ZyV4zdw_?3$W_e?xTiMW7AZL_r z{*`kR;p;~gjR#&T6*d=)#100|_8Czr9)OVhx~M|?6%Nb|Y=2H0&Tb2Sqria378Z`V zlgjO(fo=RJDzM=Q$~A%?pPaAO0vm?R`m#J{ynJ4wYs?H@d^c&MOt zF!HkdOU$`e{eN_KcYSfb9i-o~5G>00t(*;O@&Hrju4J}vzmnxsef!fAxPt-rmTch; zZo}m|f_0kh=EBV0>K!kWUsp6trZgw5#n~M?&ED$S9rF3X$kq-);R|NE`Ak)}>a~GE>$B3jx(EtEp2j9tX>6Dsw&d<(P zA)Wzl6)cj_3>OZE{m>C%;8-3GESq(aSS~_~Z-nly4+=&Z=nY1axe zb^D?fnCFZPvF*Yp__&bape<)a)EN#Q^#=kz=Bevc684FRPEhKy4JN!lMnWIQ2nnF7+>ZN4ST&$4Vb?UPOp zn}3aD=unQa{I(8m*6?i_vPpyD{`FRkJWM@M&KPt~@Qx{ATkh1`+rzG1r1bDEwj|`g z)2?qCr*F~)$!m}9odFc3(`qJIq0Ve|27O$13Zx-3Hh->+9_FW|GKnhn4; zW@J!XbZWF$vIU{jJ1NhCQ1xN(Rp9*HS}zCm7_^dxSU0x&A`<4s{Ay7~MH$-`L8Zr1 zMmX|WqNgY$UJ!d4MCBP}`r=?0`KA1LfeU!ISzi!;!uc)-R_eA|$*|J7X1*x;27ls{ z2v`JM3D&x8dCEk<6me8(p#f|6RsgoG9qYS_Sin;jn#edo7$BXLO-ObJE?UpzP#!5(ONPr3|uM`l_PG`wL zfPUj%J{+K*I3E-c@uG1V*)cTAn?eW;#>t438WbWG7kR~#PW=R%h21wwLlb)4b`CV5 zSk!tEvby}Nb7}YmdAuwNVVuaxx;BYOuEI0Z1Vl^3v|z)6XTve$WKXWe>3@~Laf$eb zk`glMC*w^KuodU{Qbb160=}YkS-wIkz83V^JLzTs&Yt<+keS&_&^76py@Gs8dJ+v? z)G+a12-*wIKx4d^gEWT{+9nXa3v*E6u9!#$2CY@v?*%SGGMvc%o_p4CJ_4W#&<*gc z)yx_?uLwt`_|@dulRgEPe}6MyQyI(1xAm*#&H}gFNUcZ`s4hJnxmf`L>eh;SuI;h| z?Xm;yvIFh11I6ttGSy`1*@3#Xb}bRVU$FE}US0&BleQ2wA}3EU5%wCy9~KH}f^yKR zyb0O8qT_iJ1jt^Fhjh2r>ufI0UIqf*t=AhHi-0Es25SexGlL2R0e^6gQLP;Z55Zl# zTrf-_rGif z-XE2SMREQ_v+zpMere*$#rQ*;^U|^X&?Nbo{spxIZr-q}cyoBjuYk%Z?uq!ykIQxX z>(<)~=Z^`EWhyjJ^nbsQuD}cY*X*Kn1Iza=?AF)7A`ml3I<$BaxD-B*9M3cPlKBq* zRaHxptHkfA9qb__N0zI!DV!d`S3kXk$3>Mr&Cd9lg<;4fu5woLiQ~)bGQ;LiF^5Z1 z@BPh;pyZhD2hpc~_^IeWBn}Yd-hwqiPPD>aafZg4V(z;Q8BWKD!|$=}e3f$WnYJ0S z45sk+#VuERl1juEU9CQA;ISVYP7Q-YPmYZ@>{)u6`&mFJ4?cq5Y-Cf1V)FP0MtlS% zw(b&;i$!vp@|pNF5$*ssgehsWy8#p56D&JF(-e;vM@96lPF2|7CZ zr_=?U*ZBna_^YP-9GbFB-#L^U!9=$qC@r7#zee#DTy~d`KQJ zdX?8>1+xuJUW;#Mf6Yc~iO6}g*~y8VDrG}|i; zGEdNjb(ed5d})|=vsd5bJn5;*@!FB?6a~6?zVrZfSv#tY;`^qsY{Y+qp{>Mfot#dbr} zRW81Z1uq@d#mR`naAKytE2@oEsM*#X&mxItFM%F`G~zn^8$o% zJ5)Fs6@8p#Q=JVIU2%86xO;GSm*5Tw?ry>D!QCY|7Y!k}20|dX1$VzlaChhSZKpGx zPG|aY|ARC8ti2|MnStYHW+15<0AQdO+HrQ=?Q zs5in5i**9NZspdYY6rhc1;U=cQ0~}xX7Kt?rW%P`pP2W6e>xu|I@`KfvJu8aS#(%4 z=DLUF`&*H2BpN51Zpe+;{P)Mx`k#u_;Ej1Gvelw4ABnwk_5dHxbt=T7TpwD)35 zMG=%)h|6-}Mu*@2&=Bwl@cnelgy<;6V=Mc@3-xrvTmb2a`~DDGb@p^)GCX zSa`~1q&9bt!$(%j=(J<-pA%yLLNh_TnQXvrpSBMqNoaE?mktNkmQtAtm0!Q1Lk7B{w2mC*pQ7JpTWlg*Oa=uI&Ea? z3O^%&>&47mrOQsEN$n1x`cr*t7&h$Es){!c-!@K7bS!?>qx%)QyuBSZ-vqx4lka0( zO+gNdK~9TbhX2h-t%tqPgUtSov|>vv>T(SY3YXB|gq3MeX~IHSFq1{-O`A>su&g-* z2-Qs){W!F#ks-B|M$gpzl+6I9l^J(`7C(-3-tK8!Zv8C+JCk0GPvR6o7J{c z&=915Fl}R)-g&Luj{EhWGtTSkhY^rD@NBIAFLk+QBj7YY=3@(W*_#1HJK-8Y)*4Vv z)&}(vIV*hg?LYg|)b5&%Db&l&5`DHdlN&g7(KfQmHgz9PWaf`;_95zLvlwsvpJ9%T z`ID(gxAQLU%4kqSkC6KrQ7We3P3d`fv?)*3SEr*hej==mt6|QC)su0%xhD)vKyC%K zH9EwASM2xO{Xf&n4$nZ()89w_m0a9*lH);$s^g#ReJxXn1WXko<<^=)e{aZ$$5VI? zE8kjZ&5$*#pz;{N9*Zepx=mp)hApoJ*DNILbV#U2WT5^lnrY&;G8C_wDL5tuF(&iX?VRS3V?fmsS z8omS&Wh~}yJkW+<4o9a6_qtpBUDSiC*L>}D&G6s|B0#{xW>JJ=$cijq2n>Ah?W0j* zpD)7gr?IZba^CO&B}Rm^@G_qbR)GElw5p5GJbI&T!fth@TE%!o3M;Qx0?R1HM)7C< zW^ehHvldn)&vV~m3nv6K8M=$;@f($8=-tELbyWHAqC z_7|(ePk(n0d0ULX%BsBtXV6X*&gZ|H(C81(#7@eRE&k`4Ko~CwOdg0soq(R4B6gmU zfx9&8i_u{one+*nmT9W?0c}L@q1*xaA(uapkZk|I%>Fg@6wm4<>U-9?_G#0D!_Vk$ z0bCOr?TS$vs&G#;ZU%ThGq!1wLy5}h>|MSEja9myvFRkASn`;Ov|HNhofCu65zI0L z^kNz$V-b|yz@^_1{aH{`a7g!HE`xpX7!;NcKekLu*&ia_hJG=*(dDQ8u9c9mLn;84 z=wuX{7&*7_V#{KC4P)UUlt6g-cSlT$XoE6t)H2!vJIU1RM?S=&xP#@L`ST#Jia`5D zb}LyEm1&XYWfpF~!qi0VHDjf)$1LNg0uyiz4)t@QG*v80)5Q_%?KYj)9G@6KT8T`# z&*C7T{iP)a{=S+M5{GWA{YS>sIEKz=fQqtv*fsvI;G!-=_Q1oCXhn#ApXsF!q|vy| zVJKO9_HPvO)B!wUzaS30(07V6EAxP?(bg%lfJb-lo1^E_fB*n^PVfkMc%pk5-UIG7 zj>uMm}OW+V4qsL!gVQ}S~SXL{XDvtt%fw|}?8&)u!HiOn3lbmAVes$d_ z`~D6X=*JrIbZr-gNyP0vak;}Ve)o{zU?Xh|Elf-F0eL&OHtDA-)(&6SD?QKI6*b`uu`zSqQ2o(M5JYJ*YU4A1N2cQS+b8k#Z z(2@n`#lCmRvsDf#Wat3exu*R9#yG_c5G+pHRjo!StFAYNYy|%>-P|zHp!$&l&SiXV zpm=&aAM->->-4Fc|G>=S!oYO(MgJcO{kQ%H^j~?sv@I=I(xL4a7s``Tg0>KEqC13R zeo^!<63h=~yO%h@t~ra`fXa0l_r^ibK;SK7VPNuiIO7Xc&fW)Mp7$rxeK=;d>c!6; zf~hT(CP7P&{pA z??bzU(BGanjdqg%AL|k)1#CN7Jj;T&laa2^Mag50rzR14yl!<#2LjXxE+Q6%6_OpM zP(~DO7Ymy1w%}ai52!(X(~tXoG4S2Q0~Imq%NRYU5{isO2V}k;G%xI+APm<{#Q@i^ zX4x{<=%~_IJ|5l?7mRxK55(EGll} zj>4*R3vk&pVPe5cvH z4`@P3M8YpoKo=LYTAU@0qHIm&QBUsg{6-y*p~;~qzM)!snm?s=&g&54eoLfSo(*LW zP}`vEi*>(qg2VLg>RCeW)~GR~f|=@~t8F6Ou4shS)BT?YgxVZvm(fM!DNw8MB^&x> z?hIIM?X+Q$ntS!V^^ppB3%KLE)1wMm!P*7;JQGjgJsj0cp^@aZc)EnnV*U2wHTTMJ zQUT$d#~~0E2=B7s_b>%oPnptXWU9~stP$hokz!2-x)*G zM9zs*QfK{+x0>^(?3QR=q_iG?W~azYZZ?b%bV}*GTo5!@9J4TH-%XU$ z{h~aZhLh_9`J_ftLN2`?Ts`KKn$21z zMP*AA9mRvWOde;h^zj7UNbgHGil_*~%izzHM{(sYee?t@vEeVUyM)Ln0rJ9^ZW637 z;b%oq4||Pg+1<;PJZYx}f{qk^$#ULX>IM1-R=-RN4|5%WfD?-aiC`q^sVv4Uv0gFW zyH-zhZ_^&?2##>UEK2QD-m{yTIm2Cj#Lv9nHiJIBY{hi3b@2;SF0Wo}Dz_qUs4zp# zp#EXObGm62SPtglxCB1@lrXHA&DZVLf|&uJAm&!NkNM8luO(Wpf=*2(S5r@$(O}z4 zfe}}4XB!~obFJv33Z;jOZ^e6&2}GQPs#X~qwE^xX`A$y7H1A(J@9&yKv72_ZH9S20 z^djnhYDDOsDf1>C;nwu?_ogOf@m93toUwaiHOiIQ|4f~|ixAP=zHPnnWQM!Gn?Ra& zPP_|?0Xh8kqkXEWfZFcnX;*i@BW06KS^>I@wwtyOJ)q*FprhuC&Df`;#B1$3AGd; zq&?U|Ft5U8Y^#+~Q1i8?bz=mo=UX@AhmuQ@NJ9$IXVSTdwg%ehi3B5UbJ6kx@czWqaS2;(IB=PlX`BhxBXBd%~!-emNS7AX3)ccG!6JEw=Ag=P``(N zGf{PQ%fdIRy@U&dWwcFFA3}5jQ=45e%YgmY!-}HO}I*ekNea zA_0CpXW1{&*9~c21+h3f_OgBbMJi2Fm7~i442SY?r|J9SKbWkv4a^=79WazU)bgt- zmB(KBMtMHAx8PDvx1>%*E-`U<_@{mH^=Yud?jlwNKaYgX`iFVkhXfw}{A)lMi#Ukx zQY1Dp_Ng)$!K|k?$4&gsM1@@E)tG>pJ<~qo9erch7spN(`bxL_vvvyY)3?2WHb_!tE z-TwZGO~o6`AmExn+MSvqsH*}rqQ`|XGwYmxT}mBk4}Cc&xA2S)+_Awlz)2oY*PgbJ zN1QE^x6_Y$hgV<|!Q#PVmXA*waz0w$yO}S!8XfvXw`t@y_&ptVfW^`h!!&6;)DHW4_}BMr@r^M^X`M}IU@purq;MQI6I$*VFx^TgP%Vs!_3&a{ATBQT==rDLMdVmDdvc02HY3nu}-waWxUzgab< zydsFEYzU4i2dq2s1=IqQeY%wnb9s3fMoW8od$`fuhcyxb>JS?ja*EHz5NbIsnI1y% zg0h^Kz`$Mva!$^tJ;Z465oQW6L@lFI9v^du1?_=r4a|SZV=WcUbDI0V))v~-*!d?J z3Zmkv2f6h;W^51m`Dsj-%PmarLp{A3-XinK@GBsp_p*LhpytJ4=UP}Gac+CuGodR9 zB@jAz4A4wZO${nMCa(JU5zUTK<8hWO$|(;&TUf->5Dyn?tWMYX5xjM|-L(lHn1CaS zl}-*)x~2}kx8Z%_3OoQ6$wo^9!HsFx7gQQM?_mPo#2kqngI8Li^d+pPt;BXxn`^&T z9^hexlPF|>$5?A>P$nW%8#IYcrg5N2ufgCx>Wr0(pORud&1lZ`BFY(=Z;|%%zI!q} zVR}=gL9)8E+USYSBkr;*7fdE9vy0yI)Ah0tWGj#|stv?lrnregcR+?I=I@4{p=qn= zK8RZ2LMhgdTc^0>89l5yu$yof@0F<(IO4Q}>T?BHlq(V-y`yP}4%CU&@!F6*C!*fZ z1q~7P81SKE7Q7c|V>Bfb-Xppd&UZFi*h&&{w$7*|TL{^B@-|9+3N@IDV0yJjQv9?u zwn|%Nxw>EO_F?+J;|{<2va!H0YEii}jbDFz9DF*jtEa^+OP8)RO&*)HC3@G7!|Kf2 ztHKL>_J-9uq5l1q_|VWV&uagp9}}^;-@R z7H8_1F$t=Mf$10Nt!{}fpI1?k@k)S@*d_F#!e;Ot+N$6A+29Hi(EQoy{1W1F2|dVJ zAsUAHr*d3A9NY{t4@c?dt8oboM}!;q#ytfD9bL_geoGBinJ6H@o*pvD<0{G9c>IKf z+J|=y!lQCYb0OfLR!Jk|!Xv-xOFNL?u0rU%G!W22@y+G7uaxqmk(3NU*!;_ogaOju zgUk^%=yVYdC~wxZwo%KTkajE8XWLevKRJj3t;UWrwzxkkZox7vfb|LT4ue4pZVq`UC_jHCvoc_l^BYeA99C#G&a z5Oj^T7WV$mv z8wyHY#oz~TG=#L%`UpDAm3zFsoAQln?{>j~EKB7{`f{-UCFM%p?h_C8u$e?L4%bFo0i2HLQ85NtUK-$qlM3i30JegCmy_wd9|J89?f z2y(Iv3oMxr&il?5Y!y*V#sVX3iEESo{}~zXRM^y1y-clRQ0vS&u@Gjgl~lz2#%@W9 zF>)yjno@er=rWZ>srS|W7Cf3;bJ1>^DmID`gE|yFCS6o=?gKIc*#MvKzYK$DSYgJ6FlLC@k{ z6)|cY6V>)PZJ{zEim1e+n!O))-?w%$ddORhElB;Z>B2s9`J_~-oG;za zOGVQ~!PHR#oI`GYqqUjXCFHVWrV(cd=U3Zl6owi%2X=n$7)1_Kw7>alx4ESrKZo_} z@IBHR`h6dX+6FGkpMy9aMM0s4q6C!EV4vQy{3B>tHe_KNiVFq%RIhAqLqGrbuwUzU z8^*wCs&b%yd1ThKyPU`7|9AenyYe;cB}|t+_9`akIjQ({map5!8tnFD5efkpdhfh4 z-DPAbR3a3BMexI4%1XH7U;YlH1)JeH!)wh+4PH9C15!

D~S3yYOo^bO=VY9h>-l zdL5gh4?&oY?|e-w_c2BC4dPhcF%k_BlAJve-k93f_} zIG7m)DCL4YlFXmSkGi_ad@Ut;WyZVWf&ACSc zn|vbJAH-HlZvOClb!%1gA2XEPupxr$+xbD-be@qU{UH_q@@d#fdrM(bCd1{;Q0xM0ioRo zuY5LhgY}~n9{Et#4aJ9gv7N%mhQZNyo3rweTDcDaXjW5zoX9LtLb2B3WK84LEg#8uHQV4PXj>DV@lKn29_xY=D zgw&F_Na*btvQj{222-@wzo`vomnYO;0x%uSd zzSsK%{X@Y(V* z41qBA8s&phZi*O}497jQyn~z{Jz$=xo!odaRIl_o)R7g__;8iPz?!a2$&&KWYZ)I; z0+&MsNJ}#9^v9XG{L4(-GUQh%pbP)!5^Gjb#L+qeO}B?L@kT%z&@wY zIyZyt>613HnAb|m^9hI8t0yKzS-st6JiN}?eSzJD$BQs@cpGnDPGHCL7HB!-*v-Bz zM2O&M7?c}>C-9hgW6)*}wM87#o2@p8^S-%(Asw2EP;EyQ|_nY6y z$jLqQa67cy7QjCKJ7m)Qhj{8NJj#x~c2vfw%z@p>DYBDM&~4(qNX|GTu9fjHH@bll z+eA%DyMF?&I_%HjofQq8EFg^!pIqo{$!u@lqxkSJJArPv?KpwHLwfHsfj-@5dLwvt z)6K(+Kz5Q5V1-XHf!Qj2WbhHIlP(d-2yjt!8w}Saxcwyh z=0)Vro-NHn2n5R-lkMf@Ouv`q9Oz#_R~!WBUo?iSWJLY-6lrK|`{IGZhpLGwr{imkhcYG}jWfx~W8YXP%wL0L zX~wD4t4Ly=f~ox+t@~=sOeD$@nx;DSUlS}kS=~qm9{;sXMu4>T)kVYmmgTP4Tb9Wr zc6efg?<1@YT((Lh;>1R`p4Ox;~MRl}0*D1*9C{32}&!pPZxSn(>Z zV{EF1hPyL8(91XPU{m2ElTW>MN&WPQNM;p`Y&S*r4Gsz=2rU+pAP}e{r6T>pcwy^& z{ZjTMCAO<9EbQ|=KZI9yngnzIT29L3dSQF|8$WQ=PP7*KYJ4@61$K@Rywr@Mc&X#{ zw<+`R_aC%7j!p2k->JyJw4eY&LYvLi@0t;sXS~i1>=;B5}aCvY~OF3{rq>JCG>NcRIG$$vz&4Ix>EbfAB*+H zeu0@xXwSnO3dHYrdE7O(=BYFa8JT4XHyR(XaLKv~TyRFoRj?Z(x(( zG9j6_+xPUkm>Np@T_I1DDc6?=KE6Sg*XeVr1{yqb{CP|h$&A46QE3OSY(G`Ga?+#Q zq#bAS%c;oCQP@8E-p&p{|I^OC(>h1=@e10r$v|2x7WoV#?)9Z6xG(?a;v$z;z`tqz zfO^H%P|AgHH3eO<#=9mJn;7bM`x%3#GtIV_U(#zfu~J2rUsYVhE5|bpUO74ny4i`= z0?GJo0!E*YD2^jEI1VeOJxQvnPB3yp5JtdJgz^4&c#nFZ2hEW#s%V-pvXX zMH8_d*7KjWAJgm0&C%BL8FtRS$x)fgy4AASj>}|w_UwE1qY1GhPlxC}X6|HiRQ z+iU-Q`K_0Q=FYX7>f!3n&&;FW(<4?3P*wGM))_0T%7%sUHX%$Oby%m-hg56RH|EI= zs?Pr>2BZ9nOn8rrz#(Q8vK;p zyTImTuT}gd;t!txfgg~ipCvB+2+FSn^;NG)7MhwB8c<1?6tKV_O?4l8D@NFh83p5$ zpDt5hqO}j8)Z1#@J0`o31JZLGj6Z)8+ocHS@#S>d52fehioSo^#zc4j`aHrq;MUe- z2B19{pBPk2YW#paXPLzQ&S40=I;f5rju{!l{M5f;UgG0ZFzFDx!oND?3_Cl&HT#@p zd{OcLD^c64Oz3Kte6fiS5EoN+WHBbBr)JZfa$z{abK{N^+hSwV?cewyU^C;QknRv& zz=Rlb^VQ-Op^GBElv)yEZxZ(TP^wmAeH4Oxo_^q1fnBQa6IXC0K9@SC^LdM5q4vzN z0L)mwkYWWZu2ZYRI~pX|6k~iUcQIh=(Si|ztF5m;Yx4gTr<-{b zCfC!TI9UA+i()|iT+O3^L*4@}lUa1#i;PLUq)9$aJMOCThgqDD8f!A=d-y=b_bSAC z(_=>ji*iezg+p{|9`>q@s?DO!*wv6G+mGd;4sr!%eg9W;ily;D3VI`6%9Z>>a(_yZ zS0s$8o0wV^&d|o*c4n_VnrE@Z>M!T40erZ6J*Lq;L}`9TI#UxVjM(|1GYm3{Q2IZ; zDWy7{czrda3vKn5dBg+VMy5XJ#f9r-0D*~Th>g%p_izMyJApGar_2|uh^HAJ(ax4` z`{R(vLhq?IT=0Bnir5ZJ#kqX9wZDI>k1>EKjxLQ#-M>Ri+jJq7&r;%iS>tWI+)Eb&R+^>Dys^So9oYE4` zkPp(ixvx0TE~QNCJXtjk$Y@g@S+WyB^o+@D>c-T$-9z)s3fbFfh>v6^_Hh2msfcus;F=>|N_}+s2aiU+F2P=-PFBN~Cy~4Pp=sAeniZJnDAO1vi2uNP!f^P*sT~66m?~W%}#xnHdFR=lIon zf9myi{iM@@f9s8tPCfar{&`hDIq9?;jm}B4`>Nh()H~f*q<`~j13w`T44;r!Kj?$) z&UtRp_b>Ekg#7n>IxtLH!#~!+|3SyGB==ZQ2jRXXoZ;auZv1Re+I|+;y;NwGJH;hi|hL@ z)zj0?S+!B=oqq>*;LzTkl&bS%`KP4r8e@7<8B&+}M!@_8(JN+tVC)C^{r-mB2NQ?# z5v2hq|7z5_%~~7CI=1_R_|&$hJWyz34F#!V!;@}aK!WYpQRgVVjJomhYkWDY7oOTDd#^Zw3^30B>HfEZ1nyD zik9esqSj4N(>?f0ww>RkZx2TSK9g$Hoz1h}ElfMMEt?i2Iu_FgiiN+!%%-MS*;`8}TUQCMACQYt~eSe51chuA^AIC(JA9%fQBb$>UN+|Js z_5lBKkkJA4scX_h0zUyG!HALpHG49|0H(@>(B{DzLbk-=>aAJcJ9 z=*0Ok{C0kfPw9VZgnUE#w$B41S~R8L#~lKa$tlM!mD&Ypz=9TF8E_myMRWr{@MVj< zCVxKp#2kk`+U^i$#0a8(!VKaJMbkz&mHiwrA0)~lAs7NdKnjzM+QcX0KIlj3(+T1X zf=_=MW6zP?3OUHpk!XJ)20$&+pDgHdZcn8=zQ!0Wc0Ig7%(cEpSG zV=qUkz-A2?6Y4FruAtqD-bve_b{RG(*Su&Ol%^Nv(fjVjRwch{rP!(kBPS2)uYh@# z-t_9W!e~c%qx}sQ^NR4{uTSrsef@NsFdfX-RtX;6W1)F&9ILk<5O>9b&zfDg+0OZX5mqX2vmz8NXm zg9U&3(YFJz3FxQ(7g}BNxkkP+PJi7#^@qY;NB7eLx$A@Rb^{xH)`{v2h~Aw|eegTK z*2rhWe#5~XHmTzPk5}s{5Sh_c5A+JAz9t~d*np0LHFSsIcn^FwF1a-|KEtQ)^t_`R zoR9^Ov9bLpwH#m}5DOg=fZ4vNST;9>r9qy3@d8^sMI2^Wh-SbANB?~YSbqkmb^xCT z@Ojl^@G&^;)UkL?y4*-YlJS@y$WfxRKYOH?pTA$;==u8e>3U`K_8nf%!;jgHx%6>P z1Mfi&hCYkC^yTWqWei^;L^DMeK#B)6`nF>S6VSy8%od`hf+Oc1HSK|If*G{v6!CFr zj)*-*#0z}!Ss#277i@`WVSoPoex3+vk*&<^eMFm2I0%Vm{p{zIWOE@A5(0u6A=9Vc z34FulhQyCN^fJ#Jhfc;`Y#Df4xMHk^;ikww8Ojx0N|wWN`3v5&1G27n$?zUznvTUn));Vdl>att2-QFuYkgjZm z21c!gaJ6Kh8glTD=Rl47t55n-06hpGY|tchsL}l?V3(# zQOvtYcwlH<0Mq^M_2v<$r~fb#CjXOyIia#7Z-C zdGr1@XNJy?ZNWw~29C@y)soP4BeK*zTO>=%gU&+Iwhm#-@Hrd|?An-GRofk~8pzV| z`j|X(DkP5&4-5c{WDbE(%%@;N$*0hjVDVa`?j%|AOEgHGBYzZj^tJe_3Ik3KN_>bJ z!%9zdPxj?4>YIY1WE?ty?K$%>^!wZUYjP>FkmSbZo({Mi*qB8fgLWE;G_Vq0ulGMJ zBqorw*rO{ny3Bc%u@$fpiP)7-fqgzDf7%`y z*qAuRz{6+T^nV#=gMj=SoGbRoRrEjx1%~jjhxuA?o}+gT4;bcr^@E$$_S0tfw7GEQ z_)rDj9n()fxmZ zp|IpSrKp7%dw76B+l+?G$_{NhN{?#~*#q_6xB!Fe!AzN&l?y{=g-KZ4R|R z>dmt8W#&=Ww0+Z&_)2@9_*hl^Ud89$k?5IuYBd$1edyMj7}dX`ecM2OyW!6x^2w+4 z!~GR$*MB-k!X8aqtKaWZvC5})3&re_`9FBnt#+-I?baqbk_sy~PHBOuxo3{ygZMRT zrzLje{zKf4I#BLpds1dwi6Ku*Lx~N20a5;(99N?~#pFBoNd3FZ{2=!xjNw_Uoi&DY zrY=qotYjrGLs*X7z?mGCY!8*Wk=1jtWzxlE{C`=kP%5qR(5f|CwN9f%IlGf?K(dh& z5NuKQhH#x&whw|s-rrpd75;X4N0h%{GS{W5E^*loDdP&BMJDg{%N&D@kE&k21s_5z zAL4cKzp>$h{QC2>VOx?t^CLyulIJNFLRu=#qk@mmp4Lf*GWS`XEz18)$=oLE{~9Oo zWq&sR({AtU|6Y3jC!_9vll0FLrF;3QAPKamhq4pNpNvdAG53>K6Yk8O>bb08{3)yD#KNwxX_!YGZ!kT-GfJ{gf^TH4&IS&He8vc!4 ztMn}0RLv2w;UMVM4i97u82T9P=wd_P7=NSl4Gwapcle7ST}i)Pc`M%ZX|j59L#dE% zr`wRT`ysTQ3}logUZ4+pK1bB?s1J6e?;E}?l7i2Y@))RWfeOtDZHHO!wyS+R&~=N4 z2c7|wPeGGqaN4Iq=)35BhF}*&>}y_TA{{crtlAjxS-E(3I>cu&;<7l}m>!bob$`f@ z$faV%;X%X;5v@}*VPRmm?^ELkiZRd5j0p8I5prLw2eXo&w8+V)mY@AG!K0Lt9ayT{an}Cdr2dZo= zQZsZ8)jygpibSXjX5r>0xv4MbiGQ2x4-rG=jxjkDy&DZsIS+ihA5Nt*z23Ln;bQQ} zz{w)eikhz@lx!LQ1=c#))58Nk^tmQ=5#KQwjx`{GZ8QgZ5>>>7k!a@*W5mZU-w3Mm|Hh( z3m>N{ey?$+*e?`r!Rzuxk$^hN&L8=e%J2g8>#V{mKm z?jPu-`-W5X=@3UU`G@wt;?%pO-JcLIam{)i(slZ3GH8|QQqF$4#r^&BD1Bs?xsv;_y zq@X?~2b<9(MW~QINitfa)SC&tB1t!I741?DtfF7=-rGb6l1jEY9cy==x=POUL!=_` zrL?iEMRR7S3a*-}J5O3WXZB%@i{~0OcbyCA{Hc19{-aAfOMm^vJZY4KdU-@(vJ5h| zU3(mkkMtXX-T|5$R(U5Hi89}E6COIuKnn}70+>~rNXM#>(NorRIjm`;1Iv|-Bb#S- zr$i)X7$Z@EPpDIo=KxGAQDZcA|iJTr)Ko|T&dHBioJdfL5C>Ez?7&HErev=5AY}? zy`#8P1&>iS{YlL*kj|Irq0$Cm0M<1oja07Y*N*Y6sv4K@W4>up_|(x14;&w$epTtP z0K20^fItNEa2XJ*goqxHnRIFVF2aSe-x&2$Mx(GEO=52S zB`18uLcsJ!7_c$WGjUhEp0pfry)9)KMUpt%Qk=0MyR%ePMk*Wl{AVah_1hO^{5|VG zGODuC^Pf%{C-tfNk8XQk|M9}>KV~P_clbQmpaxap=BNaYG=*|HfB%vG6fz1Gi4QXzxPcD1RD@#4>&jkJz1Cy zw!;e2e!VPX=|x+YrdF5NUg!fhgE8F6U*zN|uOG=RBAK55=*+4msm$;^lUt#)6s_4q zZqB9rI2$HnO4K3AD+D21fnOeZ4erGHVxU0lU>aFx}h*eek?iKcu(Q^%Gl zU$=_L^;D&Hx}0i7;hCcQI#C{_N~0nXN>)BCIcd4==t3b`By^cm2vkxlBKs<0$}5TO zEV3dcB*=p3M%kXSh+`~V5SLy-6u&Nsz6io(128k?Q~kE_^CPtJ7AHq&176^`z|!|q z8h93(nJ)} z`zvMGNxHm_q9a2|sM)0#d%#4=;}TgiI6h~Gp%`CcYm$0H#V9dT@Vns}&P1gcw=<+P z$18mHQ@Iy*UWR+7sqX&K_m{Jpwrdt-G3Tm z<)3?5#*qJfecEg^|EW(Sp}yENjy@>Blf#3O&-SkBV~~+@G-xhkOqcp^g2pBaFy-dI zVTjW(C%KpCv~|){rGYpFm-re1!7-^NF1!J^Jk31Nsz%rH8lKTh>(xNW3mZpKA5kM zW!Nf2G|zypf`^jy%E_uPGP28GQnVd8b-Ao>Eha^ ze=Yty==*07bH<&IysQOyKsp?=VZr_N=ewJaJBJRmT$F(fvW$2Rj4;i{bwC9{enT)p zaOgQ9f*9<2;K6C5yKZG_{2vE3B@L$H_0|Flo` z^}oA;|1vgW7h&MSjLtmx_h|So-o=;m`oG_Qy}iEub%#J-Mi@%Le#vF_8E{{Uf9rsJ zNwd5mjr#DMlD8k^5JCx-G3fb7UXxcb+Q;# zM*Ty08FG2l6M#y2NEHZ%xQIbRy+?UdirDN8@QVJlxqwfbNRrB}q0q}Q!%{-^h=hB> zHMcSV58sY4p4e-y@tpvN0!-rue?#5eLY5WP?S+Z+R)j5qhznPZ70{tD*IR-NmFuzx zg)a#IC1rB6`M>tm{eQ2WW_OSOb^`y!mS7j5-;&9@0(rnWcu*1BJy;maNGXUgC;s|; z3Bk4FL)E~BprK;bbEXB=fY*Z)n`iBH<3!c}g_x-5b1w*$wc3rBm+I5>ec$0!;4=BU zd593E!3FnbHWp+9k_IyK#aGHY=DvTZ3D8C}^ ziV-Dtq)$x)uh+r^i0r&7g2U;;m$bKQtn1*AlkQpTbbsBrZ<;at>d!K_6ao{^ma6`Y zWRYu06fldKX6Q#kDX!=vh!A~!H7o)uEvYlbRNSv>BUTj1DseN2y4$$Yi?Vz;hU&m) zV<8*zQX)3{J=LVT%p`OFQt^6FfneXs^PH0hi4O5$YAKNx%61Jzfy_H1^eq3bqi7z~ zAQWpoMStSGYFE+79oGyssZP-jf&}FRqa|^ikcI3)$X|IEY5hV>60HsRGn2F8 z=EboQm?L#f8z{Y%oUrM*#a5=kUqle2pi{GY4u5>R!Wb#<`vpfZ(&v9>IJlYm-|k7O z{f0t(m&=~O?}9{nz+3sI%66_*->G%6_&mzdD8 z0XE*`^44%$mKP+hwbr!?%T8Kk0?U{*B!9Lb5P{dRpwefe5T4IqX&xsGichU0J$jFE zZugyuxXXL-ByM@=p|IDqEj9S-I6*kaW#5(}{IU)fPS$^~>kQz9_rJF5S^QtGxAypd zH|oE4{|w;e^7M1>1`)M{^UoFj`1|$!xBJxb!aGv}zJ_ywr-IVYaeqiITh~$nzJK8} zf~U_3E`s{WA0hQ8Dzj-akQ_iz^d~jLwM7A1t;X8RxeKHEeFR`R-kqM68v!^xP{IbH zh${rR0D-u`ksqm4J=Q{dh94l3QNrrsN+mBW0q8U(wb7gOCsuufvY~*ByCLOYxP4g2 zyCIMztqp79zLPxY@(84=fp56n(0>;In! z*dSLQfl+`}nDa1&)@|2>72jPJqyI$d;jy4UX?#g)i${pE7{39s$~cWBOiK>pNx7hH zGWd`f(?0O9^n~q$4rRG(+j3h`VV7>TbG~E9ur1dZWnjmC*T$~x|Hu{T^?%2I;LDR{ zCjQfHb@u1K?MD13$8PN8?vJ9i8U?~XbGDGE+1$M&;Bv&IoIM}Ue?oX3SJ!ekRK|X9 z>YAtw=W-%Jpp(Ma2bY%HK`3D~_~+m@%v_ImA*w9`wg&!~-Er@`U z+RJY^KG?4b;LR)Q0dB&^m@KOo;1jwo+O&0(p5epHCl_Fxk9>nh>5|^*b6MqDv=Z=y)REkJ+ z`mhMXiocme)v3O!lNCS$1bXLTAK&JBwpP(I9V1xgfQ({eNerU4diP-XE`5;G9?b)> z15TZRj#X?)(2qG*)#|Sv4arVHRTEq>?w7C-A|9p|jp<4q5i~+MbC@_l-*6s{2`2+v z=*Ln4A(uXuI|rKJs(-Oh7z3=HvQi0|p9}!$nGoSHVRqtLH(?7CaYcqX8jPvA%pb#} zlbU{88gZBlXhvD1+_`aWCt86LtG(!^rUlCfeViHzt_uvwn_zQ6F8{y1Z)(&PbE;~)Uww13I2n-T|#yErtLdA8% zfXznZcttQ^K8DSL*x_%M?vu@A?>HwSX$qJLQKo|P0qR)*PYWWH>0lplicb9Gf;Lji zM1QP-io~>XfRC)S7<^A+8z2sVNBAgM(t}J7R?)93!bXRVJGNZbY0s*}D5)xfB2{6I z!fL8}P;agXUXu|-UQs26?W@y#==>pLO$?S1gw!$uX#tK@czYNeo~+XHhLa{{J0jnf za$bJKPwWXp_*x#CgY)Tku}Wu>(RdbR^mcHkc+w*s(uZ$=PCTALq#iD1z<=P5 zX~H%P6$9}q@1o` z|BXgBsQLxymW3hy|nk|7ni4>uOF-Dr;n!}PaiI- zkH37nc)v{qpt`V>i2 zmVyMd>#e0TgDSJJQ|Zf9>D%4rQj>fDt;83~@gd1SL>S1k{gugP&_;=x2v1S#;Y5lK|nSqpeV`7hR<#X-ZGQ?24u?UF8X;0M6V1>*lZ`Tt%!_mBU z*dXWeT^u=h8xG+ycg!7lu+92^yo|3z|L=4=LI3Z@&i=pg`hWaZvXwlb`KC8$nAaU*g(t_ zN`L?_w$w5`D;wDPn1V$2;Xj$K4gFqN*=L80uPy!wqxcC#pw^yFUJW)!-yCMt-9{boD3;BOxf9XnbB`W$nIl?pNC>$#;(pMyf29Aap zh?J@e*W9hAY3n|@0;#B9`P75*ERr##Qa<=AD)4YY4E`BzE8;^~axHD~vWg%{UCxCA$ z82fEf33TNcRi|@ziZq)9h;VmupFtzZ>YhdHI||9n#jhp+haQ)C*OHxZooCjK5xI06 z{J4L}?o>nLedNyl-uN(nWvAHD{_lT(_dNT7|7-s+Zsf&tOpIA)T&rM5dWr+h>2Sy% zXG`+qt60Cc&crIdFLjRvFxOcHPb%dH#Wk>}OS28v-qs;?xmy&0jli5vM5ZTswaA{vU}eV%h#5jb^(M#DBWoo&U$i z<39--&URrxC3$Vca6<5$STb}sP^QQgb#=)d3Ae)mBtduH>wf{@qY*(_Jtvy;}g}w_&S-HzlKyq?{(r#$w?!((zEwFrg#3p zCReddyVjH~GYh6I(K=0Pp{59sb3E$R2S1Yb?4vbBb;gs1qCuT%Uh{|-aji!wR46)J zB^@5AZ*TGKmM<5`VB8h0?_Dd*t~D zE^w&oin#Af=P%;Z5nPrfeaI#7%9*TOxAyN_4 z!$u?!#lz)Q?VspeCI%7K`|lyOEPC#EN~WA4_BCfx7YaH0Vt=EbAEyh!cq{V@1b+F9 zBHGl!3{aXMj{R_lC7#5nFhJF+H;;=zoEgB#+pFt*NGM{LNk}L>hL4^(3q)}})gv@PgdjLG*aWOaVBa9QEW!4}*AtF)-~l_*<^(td=P;od>3{%~Y}q1PcF`p7XU+13y& zI~H5YtiJI>qHow0rH3w|w=v{wvk)~_AW-hUg|X^4=C@5Ma7irkUKlFfSx z#aMZajAownO?83Iqt}fv6+A7$RFMB36+&5mEIK~Ru{yLG^^Usp_AR{0m?PssW-?T+ zHS*Mf5Zg)LnS++-bACl#*rX&@^o24uUq!?&k5^{G4+m1tldO2po9!jpTUw3Qruk&% zMh(`_cYl=~S;%MKNI?BHoqJoV^=zr#U`ltm8!hJtWT5EvC*{wM$9yOMz zO3i5-&D<218K#nfF1V5{cTC)4{u4g^aN${Nwwpz~W3~PiuUzFCUtZ8_DWR)jan!?B z4FhyQ)}P5-VNhTv5P5q%Yiv$tcHa~2q{W0y_xP5fdgKS*%muaD zR)4*|yb#G2)5qi0@$w*?66beGh)k<>ys_ZHW_U>Ex}@X+58`gZ>*vc3U#Nc*z=5j& zhvs`F`hNo=K!f^!x4F~*H=h4Fa!G9&_frw?yle!}DZ!&#Li-#kBa3o8#US5nrF~wa zmap#H%cpz}&zRKn?}^b>+CI7G#8=-yjeia&NMh>M%60H-wR*ip9sDB3lXR*y2R-HS z1%32dt&`5ueDrd(KhixfW>WnbEy;nb)oymv151NmLK)>wI1-M42^pAwg58iQXrEsF zBu$xg?}`jOWmSVqn!;d?u5E^NWXMGQOzuVFRR(y%G_y8%>;eER=%|iUpr^23<9`S% z37FCeoxdDfJQX&NcD{3-y81^fv5Ta4D}->9IPn^z_5G( z^wmek2TiRrK1>~N_Uu}U+thcz8_j+oHk(g(505|HU$8$v_PW%cPSCB(uoW0H8wYB% zD$AI+5CVrtAaV{5#Uf#l-^?CkNVBQ>linyCe`Q)mXQI!iVT>U$qD-;Ku3I);!t(8N z%1%cq4<<`WZ_w%$i%ZS{yDuH|KJHw0!dUw%(f;G^@#T3rXut76qH6~D_U0OhUQ-wy z!b_=GIgxmJmcnz6TG47U2}Q2LRq0)Z!JyY!747G896^Jn`-2-$d#@gn>j+s2mgaBT ze> zr&Y#_v$$J$4!htDP1_xD13s|Sj;vn|f1j2aM(&GcO%v`;@mDT(L5KI@Mmn62xF3Iw ziuy#?fiWBAzQMa(>MT$--itFI4Gbh2=Zr0autil^a(4~sBB*RWnqmZ9KgXV`Hv8JO;%O1J-{e@6oxu!}3-C+$YM+dLL0tvE2l^vTj^xJI^PdpNXQ zCh?jfP_Ui>b)XA&4sZU1)mWZ1%~3PC#a6HgLN9Drt;DzmQzlG9KlC#9J4cOB@$xUV z!<&$w<;?~jXWda3%gC~RzstbkzCOK-;(sHCeRcQ$POI4p-2dCX&hGxdfAR6ZAsOE? zH6YDjFWJqn3PTY6ZuR!`W;-tYVHsHT-oM8Ce#Fd`9M7xo!^@B5)n`Y$`_qLM`k^_bQYZp7|Dd%vCKXhnNc6Cn$iHh<=M@o6~kZ)x8ml zK!+kYy6wpv9mc@E3S)vEno9dZosSfhH>o?lah)mSsgz4&5+}l&e=%I)jc8}RTC)Ae z7oio}e;O^O_67C7Hd6_A`rmfgf5h6|ve2)5wwq{OaLAe+Q^e)f?UovUggh)7_Z5j1 zu2dn6n7-whe{f@7nGFahNGgLrS>&?@uc473cn2np?I*f78)fl1vBRLdD4$dK>Y61v zWA?m^RP2I`Pwj@ve`mVltc*zs8Bc=~T`??5O(+$bz4R-+;iNntt)(oGUs0Qth+cU! z%*8W6z4%F{aK`w2TD=$jG41+^w<#D#ADt})TI++<qGiOziX=4={YBP3tQF@O~Iq(7RIt1b`sr4THVUE{^^ z*#4%yUcqoW44|4i?hHX7#5`ErEgCH)t(P*-Jf9brW@kb!*7g`mG0|iVFWCZCf@REG z>b-zK8tgiN`9yJn4POOTBU{J4$SMDxMJX!vHn6(Sf8;-ugn**kOd{A31G)E54k1q{ zoj85h!dfAPCbkAM>>-sMb=jnsLyI0&$HIqwv)d>XM;5a{vxHA+fnxaS_x#!K@sX7V zp`A3&&tx!@+NW?<&i6@eP8yNKkhX9P+rjtp+3@!oe=*k>DKCaG~2s=6^!Ap4-pq*{$X>TOo%X1H3 zr`2w6ZvKj3={bO#Y&WST2yCZyT;DW`z)YCVf7(qh8F8O{VM97(xOU5nCdNC<%U0We z!t%UI`%k;kZiekYyZj%UZvP4HAlvEvm%)uMF~?`z1!eQ?J;C`e$@5S3b67{}&Sltn zv<-Oab{=hFq@Cy5K?=Mu&N_Q>o%!<%60RW{gh)@R$vfEAf4r!%Cjy=J(Rjgfc+(6bZ5wkO3G~Z_e%k)&Oz_N#;#M zf0$!*7D+Y?^T|Nir0DgbX;bzfm`^rFV{_I=+mCpu;!hodg*Zt9FN~zK4fzaf&cb%G zCFtFNg0>31@{!yamjgd)OZ4lF%5#gow~h5`ESlQnX_p@{_DeD_AyvnlBCapIxdp$p1{vK*8FtTIZUTh3U=5q~FkviY)q_g!9tW;Ao`GqENfb7#yAVj?bWpq5K1H<=|&4! zxkGzX#NrB3=IESKfv~00e{9buokot}Nm%BdeiG_dWS(Th3`jhWm?GN z4K1&Z48W8nc{6w3XTvK(Hubo;K#TN*7Fx5^C_g_`Ajd8#+v<@u*Th^N11Go>hB6iU zeWVg`%xFIpIT0~`oYnv0XDei4kSF9Y*khP=-#X3-UGpMqJg#pdf7q3PA_=R>eX@}W zcVOh)Uj2z8o?J{7tafk0NV`1Sr1yFY@8psWnq z!lD;>o_fET?xdPnIi2L5@mENqhp~-mJ*XH@^BFsQ3`(O(AUrH(s0_9zKXN^dyNgD@ z%3l=a6SoKa%(o#?J=DiwDs@Sz>4_egYocCIIl6 zMT-W#QB?)RanWrhIE_367dr)?MAR?(!jXX5Je)a2&?XJA1P_cv3P<#Xrh~(|04@-z&Q~T6Y4_;?F-z8yr5(--(k{rOnLPfA zF(UB$+yaMme^D5u+vs(F%T7x5R`;?SPwY9d6?;GozC|4TfRT#Gw( zMs4u*?Ed2P@uGTr@$+AdvzXhJ`c8wr^ZDVT zdUt)!{`D7t#N&=F?Y$;@@ATu{{Ua)fe_;(m2R_!5e@ACpy@t$%kqtk$p61VnafrkI z2ZTLdtkLu+>e)kqN2X8b)n)bK&sPtR58xYQe2~`A$6r2O7`0kWDgVKlyM60}QqK>@ zr9EPwd_Q&n`aRCt_e>h#zgmB!H0S=};qmnTv3dqmczAJte|K-ZqgkfPtMu6iHkj4( zj|TlZe^Q4{{WAa33c;z}|OA1)sMXt4HuzJ4^mJBqJTOtn^D(wt|k zlXq3Ex&9ZUo@3FsJB`cE!j4$oX8k1D5lexjbdUsA)DzoHUo7sb!>?oW5m2(kkQBMr zGaECu8L)TQCBj79%A8Iu(^ZqiXVO07{G^H>e3qSP^J0tRz`4qq86H?L*!O)TA9Bz?-Lu9_n)pW|A1DWZ`F%x0YAVvMZSG2D z`<9g~pXysqi|-Bw+*_)J+rJH$>j>6ubr*I73*7NC`E`ZEWJ+_=TAbYhlDDqhe>*Fm zAB<}45EQ;(rkl@nb<3Wh7va9@>Y^ALye$4S>}0^=U)V!L8|+(IA_TO8ik6P}z*a(T zHUjR^zsCt5h}Me6YA!hs^Cyp;gpjNjRC#~m~Ao)_|mZ`Lv+x5yjl}M(u^+4P{8-= z71|5Nvo}!F+e(b3qm1mUci-TR{m-5;I7w}%YvmpM2^IZ$sa0`;XA zMQ&C=fO_?2W0xIhmmO%A9cY&wsLbp@y?Up)#Oy%5dbgd3-!E8tFE1~GcCYAo-UI=%m*XMbYt$Q?i?f%3fcF~B-o_%}$$-Jyf$+?r zLO}qWV^nL$!2@vDF4xR5{r{qbYIX7djc%(M^#5ab^&S4d;rM@e32zzhznBYOv<={I zbIX0zi}>T`i~C=;0`Ct?#G*KVqFH#QXumRXf8}EQfz5g8*nVJ=B&L5s?SPv%tSa6d z9`Y-oGKza5zVceRPJg||;LiT)ST6?ne?nq8D`VENvKy~asr<lF7UAavH4NZSSuX5XtVJ}uwc72-scOHkK3#3 z4+atte=rPlOvQfiOJo;GZVDofN|As?Dd@%Y45#0pyDm5s=b@cOD(GdgKnDl>f5Sfy zKb^5(m!}Vp)tigQKir)g@)jMuoE$zH5fgND^iQP=IIr^w@bOno_c<_SnZ7l@n)V2$ zFvlYiq|`dAG%`yQrL>eR`NxNgKYwDKdbql~4KQ3I^K!%r8Mw|gAZG`qc)9ml&2}=t z{WCjvS)Hz7(dU89l9Lm_ty8=AZp-_BaC<0T^Jtxh8+ za;}X?#E^{G!u2?>h&&m*B3O4gi@jII`OxZ~tPQe?r)|3-!(E zq#cd&j?HN`;&i(?{Z!o^v{%gkf*5bK#dD?DZeY5~#dopbrK7qy8F5%HHbHTD#JlNi z4%$BucA0SDggaWvfNs0hS`^Ur?|ey6EIw5tkel!@I$vgod?^FXeVkQOol%#qA-F?u z2^!qpEx1E)cZUGM^~K%Y-8Sy-8XSVV1`F=KJKyQ5)73Y9wQBx>v8tXSdui6ewe^-1fcr@Wxn-#W4E(hv zD}TQ{gGs9$Og}HF*n>dREeL*Y#-7-a<&Gl;YxkCF_?%?-|(-f=mQ8oav7duBkakz%QLKcFS0z z=|?+)oy3ziJG$V}-uyv^)+Fbymg|GeV@qW_gfS^X3>2(A!BRK`vq&golJ6uggWVq6ecR-U+~7VL5}VX-ag;42UBpD{Y~O$M2seDP(VL{wwbU z5X48XhQDPf@pk;Z9OX2S8hLXhft9XJs9(KvbmjS(PBi!Rpo;kxVz(lkMlXcmF%nK6 zrX~aG0JWP_7H&s>49oS$ASJ-+;i!m<`g+`HB-K|U%utQBgeRT z>7cKvB6}_Xony19t8NT}P$k#C-TSw0pnXP*)IPeH>#YA8=rf5r@(fYGLI_DtSd3Q* z2tR!=>FgUm@Ed}(#6ufkc{MbW>$X&CP`C${x71^`1DazEs1QyebuG}5?sMIY=;Val zJUsH7&iZ)zN*7P>gDCc|NYyaB{g^{D;+wu(Qk1{~2+HMowBw0XS2b>asAF zF6I(B<&+>nt|r#lzs{+2vFsWJ$n_YkQB?@9!f!?x8(o3VT0@gQS6TOaK1I#DprjNr z65yZuGGwvd+rd2S8FcoDdpp(6(+wNQ4_+VI4|i|L7UlmOxY5oLOEZ?a$RTn_r{pDs z?sY@@37amIhRsXLW!p+DcdHzMW7Y&dg=#fMmQyKSG)teJBT!`O35+@pi&09blj7_^TvZ7$GTe#`WBm;@QWFtDKNb;6hp zPIZ-c<`<;NSNzfOiql03yZCi2*ZjbDyXqn&2BqTmt-9dMCN!*dJJ+Y`_qVEFxkUU9 zb6u+G>5U|2J)fu!rLEje;&8YOLW93Hm5QMLwVU zwI_q&&wM^>{7vf1bhb%8OhP}8>pT5*l4t}`@jbRtpi*yzS1?NE@8pH|zmbhhV>3k- zy_E*nlsjUmK3nXm=4T43H)Jt~ zE$MFK*k5+)URL1n%L#Occw#zOF(xur{Qf}8^~oyL#CuEAQ&pbN4w>SmiPpq2DYRi| zImwKiOUBA-F9o$;Xdkww&Fd_QTQqhK8b@sX?>{M^j*T$~ko9amiF`v-D}kSQbAHy} zi`!Mbl6vWfPI(CCJgo-#=5QkXGn{7;v%)EI zgc$rJ94*h<)OwKGPya+b9e_5I2IqTQORZgW2}~ffY*vNX3W<1NajTNbd|+2{uoQfZ z8-(#)^5J#AR0P21+g;N;c`1)-)2lA67I?+^?V$Pkjb zW)Opa4-IYGlzaFOp>0K?+@AM-j)pM3@eI&;sl~L;VJby?@@F83`dMW73S|fa^;GH= zFWtcWC!?nr8cEF$A$>zNrOQhtfOYy(2rvV;bpbv6z8t7oMowj-5D@{_yjT}RA8HWV z_FW7T9iv|qkG7DI(XS3gX7(r%s&jjOVW-j(k2)2<$V-z1z{e$IqVrSi*nVe!>SH>j za@??M;hIv|Xyc;yqY6ympU^$Lk4&w@03)fGb}%cNTJUx;`R&FMjMO1%Qz3E#x8mU+~%ZvBKuo{ z^-m`vO~P%^bxE1{yc9HgPXBEB-rp6xJY`rHqOB)vU)C_B<>%WT$!-RIo7edH zS=dq{R@8*A*l>0c8K(gI1RXm3^&@u=*~Roh-%>>>e}BozTSbZV{KJ-rxNrtQndh^R z@#83cJ3SsAw=Q9&4BKY zC+I9&Z9tLEi3^-#I8OCcGKA9H0+Ng*{4r5wbFg^K4^=t9mCtO&1G}7boHYJ9L-Ksg z`F;#1`qqe>c80KcUJf7g$9tYMo@ z`p;wbjX9C6lEHvq_h<4D@={7AqE*Y{*FxfaqvfpU_>j-DlbNb5#CrJ(*F;~%@Cdf` zo*erg1KaLq5walDH;;Y|?fL98F`4C22F3MHy-Lq8I=We@4c94SB}iJpw#V!)g8qDZ zgeqAowX{DtiSJ@Gc~9I0oZ@XEapBH8H#O>^tMt-W^bH#;OVdTsX4NLFoh?TCdv)$r z_wms~z)zZuzn|j(Rv4Dyi;CGF^oKJvrc5dHCLQm~-y|2ymrn(DbnC~NR&4!nzwS4@ zba1>^Kpr=Xz`uN>+PrXb-k0ytPuXa9N%~Ri*VRs?zeS!mZbNE z%0$f`>%`~Bwmh@TRx;g)#JQnAwN8*FwAGq~P$}i7Wg2W*`PhqsMSG|>u%p|l!)yR4SvHUisn>rUmoI}Dx!3AXMN-~CIUFU8j(>ubOd z2HoK=6==P7Vb{z!KVNXC9#5)f5b@HRe%kwwqjtHl8+j!Cki$pc4=t4Zm*=C!?4$NJ zn=ueeN|U1itb-e4f2uHibI^6A`zW>7HG(DHZtC{=p@DTnnlCDNc4C)(hV{FN-xID5{KBG!dDXxhYSN;e(w7d>~@Y1g( z6Bqw{dw(Rwrc(65O0oFYO;*=EG4q!?{2A@Gr*PjO? zZ3h)#mJM!xSH?>Rk_AIpk_X^;bLNNjtblAkq>-^jPQu4u3a-Mwj-pGBGM0S36vst< z?JYCT&W3%WiMIRw7hy?(Vqa%_d8r6usxb=Vv?q_*6cX>gxTX|&%Jj58G)Yo{8fZcw za%3NU%`&VwBH6wq*)vZ;UU^ulXz(gy9=Rk7zKl{g=l>;_qLz=wjx_i!1J*89oaJlD zeMnUWuVL_)oW%FNb*Z=9V#CT13Ao(-cK_>0DCq7&q9<;W6yJWg88A;1^?QNSligH4 zr&i?JXb18MvVb%vsQZS*T#9G~3mA?Pi-d&vY5Z}#i@X0f!9w45S*e|St(Wh}NH>T& z+41|mwu_5*FxLlkiSN@g_@x^>xTU^DkfmyO?tYoP_I^Q`46X&c2KYvmlgoV>?|Y)4 zIkORfP{X%4cN+dte)k)!Y#ouvwfgHxtZ2h}QC)05@3tF7m=SCrzj?Yc4!pG(w1U(4 z_7XPLU%cIXAB}vPsNQkifESbepL%lTx8jwz8wC6#6!ZPI%{m_+s6^fxFhnKFz|2n8 z8KVQoeJjOFj;y_3jZ=-Rn*lGFX}ZMeZbo+MBG6`SYdmkobP*$sx&% z><>qe6Pcp*l_vSM>Gc)}OaIc_l9?WFibF$dj8$#lG;8pEh|`znUfug2%y?k! zOE>q2ZIo6pV$gaP=kp=JZ3S+omiLmR5HPWYS`69r3VZS=FVWfT}n*#_4Cr3A-e@Au-xPZyPDNO?Sl;nATce>SXFkI@=#(G zdO5x=GhJJn;g(Vro8+{|E`{t=l2LHNx$N2x83~#l?-m6_qHy!8($9(eNwY>MC=DYj|hJsS%GqU{Ai>q1-{S$35ns8@_k&k7FJTiU-_ zMiM5sa5kiZOrMi6LTH$0_e0~AQ_5p)7WFr_w>OV!Bb$J|HXCWO=<=AxK2VAu&RvA> zg&{M&Jm?_nY(AW2+8l@U8G_^wY(to|Q^a)sR%g%H=cFEh$g<(X+-Z z(R{PulXM0F8&g8FBtABCiMEQ#7-312`6L8F0znhRYCWCE3 zy=+`9;kq(-Bdn~TmTL@X9?`D_Fh>Xr_&-!;XQP0u&khT(w^0-GGs|&{xAUX(ab;+@ zi~q5?x{erC2ZPKv+e+%^iS+veT~{%eFk60sr}=6ZsUe~tHCyG?RwZxp?68_-NyMv{ zWlxPu1iE1izHcVz-_tMs{nKnP*1Ee@xQNX<=EL0(rgtWOIb`ADIyS86a;hh{Uo(q4 zK@K2W_x*9CuPw&W?PeP(gzWM&wrP;He-P)ZZ<_4@eK2Rj446ZY)D>g0^zE!q@y7Xg)Aa z-}9yV>k)AU&9c1e=+iV?3u5*7eI1`T27w~*9^dT|?qF^=1(Sl6NmcwwAY}`~_<~)< z5s%&#%JyGU4)T_=G!MUfbQ-KsO?!vmCug{tIee%qoPi}8!ke4=hS`-NMQB-~Gg9hF zTnPe+$@*G_rA=O*fP2t#(N$tn%C7jW)U=MRR|m}$-v9y{95nKF!puPD)_rOiT8RxR;H*14hI2D z&et0_w4YMJg{c(2KjjY571sOHl$bKPg4lj3OEazdlirXLa#1K$vSJTC0qn!rbnjU< zW+cxDZp57q$w_xlZL5{sok*%Vr3$t-YzO5`wRCYT(W0*&f99O$^kbuoOp)#ggK6mC z+e*Wnv77;inLPisn?yqcqbKdAT_>td4o@A9InvxhN3_OwZ760jSTmZzTzs*4Voyq# zo4WQN3+pEV0y91-GSzxi02hVQ&c8J6!AM`fk}uy~EYvDK&qvYVkE&Pey&Dkz$cdvx z`|y@za5vcGz8C$N+PNM>sHpWzZb%bb_z-eGB;z32;I8r^eudCl;W|3<|mcL4$suW)M%`fV&70M@7!WMXhd-LgS8 zq^E<_R1Y%r0f!s>E=6L1nKI7G(gAl3{P5=qjX{G|+?LAz1rHZTsl#wLZ)P+8{Cb>K zwlHU+a{pw(?w>A*HnIkJ195$B?~wWOBG#4P4<}6beS$7MvDPexqKQ4(qq7}2t`52x z<-cWo>F1<&Z1KE82Bg}E^(XL`^20}Q|6-reBs|0~4`H6|4NAw+AirVfx`pd|>RFC+ z-Qi=Nl|4r@`qx_F5b(V7mf)Fvsl*mCA$+FTjxbYV|NI zd6hHH5{$SmfAxD(BS&e055DFP4Bv*XH8JR3&k>Ux`Zq&;p^OadY7j|Ou993h)A7RJ z9F!;~X;pcoFkBjQrs&`@`r39yLE9#APNQ>v~~{y zt^MFUQwv=p04kmtq{?xTH3hLRbyZ?)zmCbUV_Fks)NAbgI=%o*R2QbiY1S5qn-#>Mfl%}(3mK)bCm_f-G8b4d z0+_LEuW*Wx8SS*WOJ4oRx*2AcS2Cm-h$E4qlw@w<;L}Dx{8R?0yTXv0UVrHNIVg=w9LA>6cB+7~&;wU5b&}@}s7JkBy8<*Oc zJV)=WU>+JWRg(Eg25_H;RJYYXvh&xj4`T-H;d*cIq90K{L#US ze0Vl#G=H!1`A$1)RY}9mfGTQWj*&WCWgR!h=!qz#NW+@{yZPs$C~tW7@uk#I}jL44Q~L01TES_mqW zkAobKKk27^#e3uYl+omCauKZgg&WY#0ogO=h z{NK30dcBVYwoQw|2tdqcpg_Iok-Cfj>-i6QjK@}prrgU2P9a0O=D?ttG5~#;lOZL1 zST;!11NN5zpY8*DbC+91r(-PFfOmg=kn->6VD7O;5oE^Dy=oL<7<@v3 z?9SuZgK28%f~lwk6z2AnQ?g`J=~dghoIXJzo(8DD)*o-kXtDk5=YV!_YbmDyEIdH( z=n)BP2#th>)y_hcE0#9{15iVu+lCE@9nUj)xUjzig@4>#pMYQBviTq9Z$Jwi_^~x? zI~JeRNHxm;Y`;h(r(acgHoo&V&Qcfl;`Mty=?DqYDR`tXCzNo_j~dR=6NLzz{8kSP8vqwuos^{LNo6<#z|y5|~rr`KfFc+jHs>q^WG{@eeV~f8)gulK`$r^8+ix}?gHwbOg zYty(*DcZM`_%+;de;Mj(sL{{q>`y9(wADUGpcNj-v*5VfDR-VQ79~{ho_Evyn$~!5 zQ3j?g_6cVAIUmH&j0;Uo0D#*9 zs9nmkAPlAYngzNN0i&yMi+{i$3 zI}Efl>eFSxD;9xjkB0uxs}@ehQLf0rFxtNY42hQG!Ijf$13zfMn$Brp+xcstp70Ha z6+8)Sz0BeGA0-5Bu)VwrUn{i^ppq6Bahw@)clV-T`U}N5%pm#`3KtOj2rWfDv}WF0 zs5FuA?+oVa-6Oo*?TfK-xNR%7kB8eWqW6=g=y0I?I*GJNln#y=hN~?8+lf}L?FQ&uMi~Xw|?qC zi6iEl;w>wpV2(Goar=4{HiI&hg+Au4pt}TA!c{Dj@IbLIG`IXAhXqeYqgaT<21uyx z@?+E!#8-Xh!e_OeS67vsK4m<+sjL8!ig{3_g>@2!I-~~?ld+;21(TFg)CF5Z@g)|X zO8_I4nt`)Z02(f0zIK3<`rWCz$>y7Yf9N&4akUn=uvDb+Cf@^rjDc7jgoA>{5&;pZ z*GgIK>UPjegVXZa<*;+7(JsD>ZG-ZXI7ezT=a7C94q_h9X5D5GWkLkxK zeIK0qOdr_$d~ZrdAts6m6`NyYLStprC-wOFdkRTwH*yN;jo=}43aKjOe4C46>U0v_ zu1dnE--0(2*NnG7o#-cBt(ml{q&bZpHM<`c+2GjpxhJHveCjSPC4Ab;$A;@JIi$g@ z+RWl}X}T-jYQx^x*uu62<1rwH9p4j^;vc)*VB!bY>(xT7j@O4K*}YUxEeznjv7Z$@U6FoU z490RV7K%jf>=F#0nk213w z;Zd$ednrkH#$N!oK5dq=afW_74t;N~!Qgp;eLAV55&68eZ73^K)Lj5Oo|BMGqL;uj z0qP50Y#jtdIR=p`<+c}2J1U~fo{#^M1g*q#S0CI61=ZI>2*UC?n{jvfVMWp1Pn#v> zj&|s_>E>*6gNep{^85cvQNC1MVr$sMELc9MkgY&)Ycyah)H3#MmpU{OBXWK{j2?;& z4o$u^BoaZ4bJ^6LM!a(FPtM7Nqk`|qeIP$X@gVsFE){!3CCvt?;+~#ni}+7O;75Jb zT*w;(xpTJ>sWQk^e!&bDc0h#PjSGU-5ylgKlHpSUxA?aMZ_(WCKRM-IOKu6Zxe7P* zv)JCTyM4fztl$yDaGmS(=0nz{%rCDq5i-t`Jm#yr*#+^ei6x1V496HP09BQEv?z8XkxMFUa(9B9i#nhVh@8W@!Fdc6Z`fvL5)iaN@z7|vZ#jRy4(#I!X zFabZpnw=`~y9uY2vr!iTXfoR7*eXlsp^HMd?cXJV_F|^5mrMTZz!62468OW&$lG`0 zdUmiyO~!(IpWX5$a$@bNS2F3#AN7ac)ayH&{2_d9PBB@O0denBq?n{6OpgLc=2;*7 zM@~Gl8hg>Ad4g#QXB7O*S8zDPWOJ^)NK(BiA@HcqnX54@eZ~B#`rUH$eNP5a1uyXF z2yDlO;CY{Cu}`*sUNCU*DEn$*b*1U-b~<7~SAK+?fP81^=Eb+&)n>d9ik_RsET!QU z_Og?NZ!m&5y$QqBkkH@whRI(iwxyhNDjjGN2qBP!FnXUaipzVQ-F>yOP$@v zaTsiw-xJ1U)EE;%6CxedYF}S8FTSs=7(exlx^Ys%HGs99n2(gl4rsS+n@{0{b9KdT zlqN3yiFAKF23xnlzovXYaBB2Mp6=p40&+gf*^!XEJ+b87d_AN)_MqH}4UAoNQqT35 z%QmFUj-XXHcc0YYjcdQtqb0${$yIJ&NHgf3e)-9I-DgK80so-nXx;?d4#(J#o21w} zZ{GdJ(<)^bxzY`AH0znFt;h(}r2c>#(CtHLj9(eSUax%gsF!z%VO@8Z)bI>x1v;^w-HaTx^;x7#DjDbewX0Vtxl}FxC@#Z*vJ=Exqp>;s{=Vt^QBjw_ zVj~c&Lt*3H*75A(Tc8fSc#@BE9&>6N%TjL3<0{)!zvU@iI@JCE#>!?3K?^@a!451rrew^IBoOZojo$SdIO7p&UEPweALcLdTtTPSjqu=>V(UmE< zSjpSs^cE8C#@gQ9&Eq{eSKPlL!JfJny>cCgwUrNH35u)w*Wa-~dMk09Y4&S=xh;OF zHe3z)6{X#P3-ow-_`p}|^>S{Y;MiD>o@9rn>lfKi;^fPtFjX6l-5dO0I2G@QOuJOpn4q_}IS?vR)a`8&sUo}peY;Me1~ppUzKn`(Rq-TfuJ zkW_fvQNKs`Sfo~IV{H5NBJs$p1g%iREh76`a9(+QUU!Fb@yqHWkFbJ4Wwa@zplU6> zN#gSlGqm=Vh^>gJ{SfzstU zWg*2wDZBX>*XIdU)|Hmzqp(*;+g7n+FI#65>)^<+}#Qukuip{fA2Sj49 z>DLXV4!7ilt2J26Hp>EEFxKbg1bV&TvG@k6di=bT6fJw(P8Xacmq^uY?&mi*?}yuU z+QR0g|C^VpJJ$8Tsw^aHFkfE&xbng>_}RdgZFO?J^N9&&UHI^L^C$s2d5`oW5{p&c zC91CCO{1UC{rV`XaV8G;%*)Z)*^3@_FEBSGA3EOH2?JvlaL*s!>432B@20b1CI#bE z{E5qBz5pVa{$bRODe>qbJE4$;8AlJ%FLk&f^mtkG6*^;6CuJ2nb1}T;f#e%0$Z&;L zMm8ykv$%+reSzc_OLG-Gz4JD0nE?@VkqiV&Cm#m#_5W(-8YiL#H~-t#*Iy;M0T%m{ z_(l5b*vE1j{imO{w<@g`Q + +%myents; +]> + + + <symbol>CLSQL-SYS</symbol> + + This part gives a reference to all the symbols exported + from the package CLSQL-SYS, which are not also + exported from CLSQL. These symbols are part of + the interface for database back-ends, but not part of the normal + user-interface of &clsql;. + + + + DATABASE-INITIALIZE-DATABASE-TYPE + Back-end part of initialize-database-type. + Generic Function + + + Syntax + database-initialize-database-type database-type => result + + + Arguments and Values + + + database-type + + A keyword indicating the database type to + initialize. + + + + result + + Either t if the initialization + succeeds or nil if it fails. + + + + + + Description + This generic function implements the main part of the + database type initialization performed by + initialize-database-type. After + initialize-database-type has checked + that the given database type has not been initialized + before, as indicated by + *initialized-database-types*, it will call + this function with the database type as it's sole + parameter. Database back-ends are required to define a + method on this generic function which is specialized via an + eql-specializer to the keyword representing their database + type. + Database back-ends shall indicate successful + initialization by returning t from their + method, and nil otherwise. Methods for + this generic function are allowed to signal errors of type + clsql-error or subtypes thereof. + They may also signal other types of conditions, if + appropriate, but have to document this. + + + Examples + + + + Side Effects + All necessary side effects to initialize the database + instance. + + + Affected By + None. + + + Exceptional Situations + Conditions of type clsql-error + or other conditions may be signalled, depending on the + database back-end. + + + See Also + + + initialize-database-type + *initialized-database-types* + + + + + Notes + None. + + + -- 2.34.1